Personal View site logo
Semicinductors industry has serious issues, stop will follow
  • 562 Replies sorted by
  • According to Bob Swan Intel 7nm is equivalent to TSMC 5nm. He also said that Intel 5nm will be equivalent to TSMC’s 3nm.

    Bob also talked about Intel’s transitions from 22nm to 14nm to 10nm in very simple terms. 22nm to 14nm had a 2.4x density target which as we now know was a very difficult transition. From 14nm to 10nm Intel targeted a 2.7x density target which led to even more manufacturing challenges. Intel 7nm with EUV will be back to a 2.0x scaling target.

    It is just one little tiny problem, Intel do not have even normally working 10nm.

  • 3nm Hutzpah

    Continuing with the goal to match or even beat the famous Moore's Law, TSMC is already planning for future 3 nm node manufacturing, promised to start HVM as soon as 2022 arrives, according to JK Wang, TSMC's senior vice president of fab operations. Delivering 3 nm a whole year before originally planned in 2023, TSMC is working hard, with fab construction work doing quite well, judging by all the news that the company is releasing recently.

    Lately TSMC seems to be in PR mad mode. Promising things they can't keep left and right to get orders from top players.

    It is nice thing to remember that Intel behaved similar just 3-4 years ago. And look that happened now - Intel is quickly talking to Samsung to survive.

    Even 7nm current process has lot and lot of issues that clients just hide because of huge profits they get themselves.

  • We say [Moore's Law] is slowing because the frequency scaling opportunity at every node is either a very small percentage or nil going forward; it depends on the node when you look at the foundries. So there's limited opportunity, and that's where how you put the solution together matters more than ever.

    We've made no announcements on SMT4 at this time. In general, you have to look at simultaneous multi-threading (SMT): There are applications that can benefit from it, and there are applications that can't. Just look at the PC space today, many people actually don’t enable SMT, many people do. SMT4, clearly there are some workloads that benefit from it, but there are many others that it wouldn’t even be deployed. It's been around in the industry for a while, so it's not a new technology concept at all. It's been deployed in servers; certain server vendors have had this for some time, really it's just a matter of when certain workloads can take advantage of it.

    https://www.tomshardware.com/news/amd-cto-mark-papermaster-more-cores-coming-in-the-era-of-a-slowed-moores-law

    It is lot of bad news ahead. As cores increase and SMT doubling are the last general methods industry had for now.

    In next years we can see extreme distance between notebooks and smaller computers and powerful desktops.

    As with present Threadripper you already need around 400W cooling capability (to max our performance) and another 300-600W for top GPUs. This numbers will double in 2-3 years.

    So, look for a case that allows to mount pair of 420 water blocks or may be more.

  • Intel again talked about their 7nm and future 5nm.

    Present fairy tale is that they will be able to make may be one product on 7nm in extremely limited batches in 2021-2022. But it is not sure.

    5nm in the minds of extremely optimistic Intel management can happen in 2023-24, but may be slightly later. Or not slightly. Or never happen.

    Back in time they also had nice expectations

    image

    sa11348.jpg
    455 x 298 - 42K
  • image

    The Tiger Lake CPU family is anticipated to hit the market sometime within the 2020 - 2021 timeframe, though I would take this with a grain of salt as the10nm process still has a way to go before full-scale production. Tiger Lake is set to be the successor to Intel's first-generation 10nm Ice Lake and will take shape as the optimization step within Intel's Process-Architecture-Optimization model as the third-generation 10nm variant built by Intel (10nm++).

    It is nice fairy tales, but for now even 10nm can be used for slowest and simplest mobile chips only.

    sa11292.jpg
    735 x 412 - 77K
  • TSMC continues to see its 7nm manufacturing processes run at full utilization, according to sources at fabless chipmakers who said new orders placed recently cannot be fulfilled at least until the middle of next year

    Something is up with 7nm, as AMD and other players also can't get enough chips and are getting much less compared to that they can sell. And it is already after AMD specially delayed release and moved Threadripper even further and jacked prices.

  • From interview with UMC, one of the players who dropped from the race

    UMC, once a major rival to TSMC in pursuing advanced manufacturing nodes, decided about two years ago to shift its focus away from joining the race to 10nm and more advanced process technologies. UMC disclosed previously plans to enhance its 14nm and 12nm process offerings but to suspend sub-12nm process R&D.

    UMC encountered some bottlenecks in the 0.13-micron process race. It was a vicious circle in which we lost market share in the advanced node market segment and saw impacts on our revenues, coupled with a decline in our available R&D capital. Such experience pushed UMC to rethink its strategy to avoid being trapped again in a rat race.

    In 2017, UMC recognized its role could make a difference. Rather than fighting to be a technology leader in the advanced-node process segment, UMC can be more capable of being a leader in the more mature process segments.

  • Issues with modern neural networks training

    image

    image

    It is big reason why suddenly all main firms started to make "AI accelerators", all other ways no longer work good. And complexity constantly rises.

    sa11182.jpg
    800 x 357 - 39K
    sa11183.jpg
    800 x 389 - 39K
  • There is a massive layoff and reorganization coming to a silicon valley chip company in the near future. SemiAccurate has heard the plans from multiple sources, enough to say with confidence that this is a big one, and several senior people are already sending out resume’s.

    https://semiaccurate.com/2019/11/20/large-layoffs-and-reorg-to-hit-silicon-valley-soon/

    Usually this guy is spot on.

  • Some older costs charts

    image

    image

    image

    image

    sa11176.jpg
    667 x 407 - 34K
    sa11177.jpg
    685 x 344 - 31K
    sa11178.jpg
    726 x 475 - 40K
    sa11179.jpg
    618 x 371 - 36K
  • image

    Complex Intel chip plans.

    It is just one issue - such complex mounting of one chip above another is very fragile, can have issues even due fast temperature changes. End for notebooks it is even worse as any significant drop can mean motherboard replacement (another thing can be that such chips can be very hard to mount or replace!).

    sa11109.jpg
    776 x 434 - 50K
  • Samsung's custom CPU core arm of the company is reportedly shutting down. The research and development facility which housed around 290 employees will cease to work on future projects, according to a WARN letter filed with the Texas Workforce Commission. For the longest time, Samsung’s Exynos range of chipsets continued to attain the second position when pitted against Qualcomm’s Snapdragon family in performance benchmarks. For the foreseeable future, Samsung is expected to rely on ARM’s cores for performance-related tasks. According to the latest report, the layoffs will be effective as of December 31 and will be considered a permanent decision.

    It’s possible Samsung didn’t want to cut ties with its custom CPU core department, having invested an estimated $17 billion in its Austin campus over the years. Unfortunately, considering the number of roadblocks the company had in its path, the best possible decision was to let it go.

    This is interesting, and we can see both extreme costs of development for 5nm and further processes and Samsung can also want to go out of US control over chips development. So, it is possible that they already have secret development facility that is just waiting for next stage of trade wars.

  • AMD still do not want to make public bad situation with 7nm yields and total processors availability.

    TSMC now can't provide enough good 7nm plates. main priority goes to leading mobile LSIs as most profitable, later goes AMD chipsets for EPYC. All else is produced only if they have capacity.

  • China's National Integrated Circuit Industry Investment Fund (Big Fund) on October 22 set up a new fund of CNY204.15 billion (US$28.9 billion), marking the start of its second-phase support for domestic chipmakers, according to China company registration information.

    Fund already spent an estimated CNY138.7 billion on its first-phase capital support for the local chip industry.

    China is late to the party, and also does not have expertise in making equipment (that had been intentionally monopolized previously to control knowledge spread).

    Real required investments now exceed $250-300 billions to just match 10-12nm process with enough volume required, and another $50-100 to match existing 7nm TSMC (without EUV).

  • TSMC is expected to step up the construction of its advanced 3nm wafer fab after securing 30 hectares of land in the Southern Taiwan Science Park (STSP) by the end of 2019, according to industry sources.

    PR is everything :-)

  • Moore’s law, which is widely known, is the observation that the number of transistors in a leading-edge integrated circuit doubles about every two years.

    Moore’s Second Law, which is less well known, states that the capital cost of a leading-edge semiconductor fab increases exponentially over time.

    image

    Semiconductor industry history shows us that once a company falls out of the leading-edge process race and moves to foundry model, it does not get back into the fab business. The foundry model plays to Moore’s Second Law by aggregating fab needs of these fabless players.

    Critical time passed with half measures and Intel has now come to a stage where building on the current internal foundry model is increasingly infeasible and the x86 riches may no longer support a place at the front of the leading edge.

    The revised capex budget TSMC presented at its recent Q3 earnings call - $14B to $15B for 2019 and likely a similar amount for 2020. TSMC, has reached approximate capex parity with Intel in spite of roughly half the revenues.

    Intel will now have to increase its capex as a percentage of revenues. The problem is that Intel’s revenue opportunity is shrinking due to market share gains from AMD. On the other hand, TSMC revenues are growing leaving TSMC with the ability to spend more capex if it so desires. Intel’s margins will be reducing due to assault from AMD and increased capex spread over lower volumes.

    Intel should be two distinct businesses:

    • A cutting-edge semiconductor design company focusing primarily on CPUs and GPUs. This part of the company, despite recent under performance against AMD, is a potent organization. Chances of this group gaining back performance leadership are high.
    • A fab that has underperformed recently, is facing dis-economies of scale governed by Moore’s Second Law and is likely to fall further and further behind.

    Samsung, having fallen behind TSMC at 7nm, is in a similar situation. If there is strategic desire, and we believe that there is plenty, there is an opportunity for these players to consolidate their forces and create a viable foundry alternative to TSMC.

    Intel has lost its process leadership to TSMC and the company is unlikely to get back to its top dog position in the industry.

    While possibilities such as divesting fab operations and integrating them with Samsung fab operations exist and may be implemented, the organizational inertia at Intel makes the timing of such moves questionable. Given this reality, we find it highly likely that Intel has now forever lost its process leadership and will suffer immensely as a result.

    sa10585.jpg
    800 x 342 - 42K
  • Huawei's HiSilicon has unseated Apple as the largest customer of TSMC in terms of advanced process node capacity share, according to industry sources.

    Competition of doomed runners :-)

  • Watch the monopoly being formed

    image

    sa10554.jpg
    800 x 432 - 42K
  • Compal on situation with Intel

    image

    sa10515.jpg
    746 x 502 - 156K
  • “While Intel will theoretically have 7nm parts out sometime in 2021...we believe it likely that CPU and other mainstream 7nm parts will not be on the road map until late that year, if not sometime in 2022.”

    By the time Intel gets 7nm production going, TSMC will likely be making 3nm chips for much of Intel’s 7nm lifespan.

    “Hence any hopes of Intel’s ability to ‘close the process gap’ seem forlorn, unless TSMC drops the ball (which, as of this moment, they are showing no signs of)”

  • Fast improvements (due to cores number) seems to be up.

    AMD now want to move into marketing strategy and tick-tock approach. With each second model being extremely small improvements. Yes, 3-5% a year with 10-25% price rise each time.

  • From TSMC report

    image

    image

    sa10505.jpg
    747 x 449 - 58K
    sa10506.jpg
    744 x 448 - 44K
  • TSMC and EUV

    On Oct. 7, the world’s largest foundry announced that its 7nm plus (N7+) node has become the industry’s first commercially available (extreme ultra-violet) EUV technology. TSMC said in a press statement that it has been quickly deploying capacity to meet N7+ demand driven by multiple customers

    The leading edge is currently at 7+ with about three layers done using EUV, he says. In 2020, TSMC will ramp 5nm in the second half with significantly increased EUV usage of about 15 layers, followed by 6nm ramping at the end of 2020 with about four layers done in EUV

    image

    Huawei will lead at 5nm followed by Apple, both seeking to take advantage of die-size benefits plus normal power and speed improvements, he says. In the meantime, MediaTek is likely to be TSMC’s leading customer at the 6nm node due to persistent limited wafer availability on 7nm.

    EUV provides a roughly 20 percent reduction in mask levels, which in turn cuts production cycle time, according to Samsung. Chip designers who take advantage of EUV processes can avoid the triple- and quadruple-patterning techniques that fabs have struggled with while using 193nm immersion lithography.

    Samsung introduced EUV with their 7LPP node late last year, with production used internally for Samsung’s application processors and possibly Qualcomm, but not in high volume. Samsung has plans for 6nm EUV next year and 5nm EUV in development with likely volume in 2021.

    TSMC, Samsung and Intel — are planning to adopt EUV in their production roadmaps.

    Originally, Intel’s 7nm generation was slated for introduction in 2017, but delays in 14nm and 10nm delayed the company's planned launch of 7nm MPUs to 2021. In May 2019, Intel executives claimed the company's 7nm technology would challenge the performance of 5nm processes planned by TSMC

    Things are really bad now.

    We have TSMC as leader that can only do 3 layers and very limited batches and almost all volume will go to AMD during next year. I think we must expect multiple issues as we had this year, hidden behind closed doors for a while. Most probably AMD will be forced to move 7+ consumer processors introduction to late 2020 or even 2021. Focusing on EPYC chips with huge margins for now.

    Samsung is also very bleak. They can do lot of nice NAND and DRAM, but at processors they like to lie. Next year we can see various fake declarations.

    Intel just do not know that to do. Their only hope is completely non ready 7nm process, and they want to repeat all 10nm errors. Now it is loud declarations about 2021 that will become 2022 and most probably 2023-2024 for first consumer chips.

    Intel will be definitely supported at all costs via special bank loans and direct injections and similar things. As it is very risky to have only leader located in Taiwan, as you can totally lost access to it within few days if China will decide to invade Taiwan 9and this is quite probable within next 5 years).

    sa10504.jpg
    790 x 386 - 60K
  • Rumor came out today that Intel had canceled all plans for 10nm desktop processors, leaving a void until the company would introduce 7nm desktop processors in 2022.

    Intel response:

    "We continue to make great progress on 10nm, and our current roadmap of 10nm products includes desktop."

    Roadmap only now and desktop can mean also Xeon type HEDT chips.

    It is all very fishy, as most probably 10nm is the totally dead process, but one that have tens of billions USD invested, so sad to drop it totally.

    Intel claims that 7nm will be simpler is total and utter bullshit.

  • TSMC

    TSMC has advised its clients to book foundry capacity well in advance for their 7nm chip demand for the entire 2020, according to industry sources.

    7nm issues continue. Now TSMC owners artificially hold 7nm production as they are happy with profits and have no idea how to fix low yields.

    TSMC is expected to see its revenues in the second half of 2019 jump 32% from the first half - more than three times the 10% growth rate expected for the entire IC industry during the same period, IC Insights said. "There is little doubt that 7nm application processors for new smartphones from Apple and Huawei are driving the forecast for a strong second-half rebound in TSMC's sales".

    Yes, TSMC and Apple with AMD are ripping you big part with 7nm.

    TSMCis expected to have over 7x the dollar volume sales at sub-40nm processes as compared to the combined 2019 total of Globalfoundries, UMC, and SMIC (US$22.9 billion versus US$3.2 billion)

    Here we have actual monopoly.

    It took eight quarters for the foundry's 40-45nm technology to secure greater than 20% of its total sales, five quarters for its 28nm process to exceed that threshold, and only three quarters for its 7nm process to account for more than 20% of its quarterly revenue.

    And it is growing fast.